Show simple item record

dc.contributor.authorChrysanthou, Kyprosen
dc.contributor.authorEnglezakis, Panayiotisen
dc.contributor.authorProdromou, Andreasen
dc.contributor.authorPanteli, Andreasen
dc.contributor.authorNicopoulos, Chrysostomos A.en
dc.contributor.authorSazeides, Yiannakisen
dc.contributor.authorDimitrakopoulos, Giorgos N.en
dc.creatorChrysanthou, Kyprosen
dc.creatorEnglezakis, Panayiotisen
dc.creatorProdromou, Andreasen
dc.creatorPanteli, Andreasen
dc.creatorNicopoulos, Chrysostomos A.en
dc.creatorSazeides, Yiannakisen
dc.creatorDimitrakopoulos, Giorgos N.en
dc.date.accessioned2019-11-13T10:39:22Z
dc.date.available2019-11-13T10:39:22Z
dc.date.issued2016
dc.identifier.issn1544-3566
dc.identifier.urihttp://gnosis.library.ucy.ac.cy/handle/7/53757
dc.description.abstractNetworks-on-Chip (NoC) are becoming increasingly susceptible to emerging reliability threats. The need to detect and localize the occurrence of faults at runtime is steadily becoming imperative. In this work, we propose NoCAlert, a comprehensive online and real-time fault detection and localization mechanism that demonstrates 0% false negatives within the interconnect for the fault models and stimulus set used in this study. Based on the concept of invariance checking, NoCAlert employs a group of lightweight microchecker modules that collectively implement real-time hardware assertions. The checkers operate concurrently with normal NoC operation, thus eliminating the need for periodic, or triggered-based, self-testing. Based on the pattern/signature of asserted checkers, NoCAlert can pinpoint the location of the fault at various granularity levels. Most important, 97% of the transient and 90% of the permanent faults are detected instantaneously, within a single clock cycle upon fault manifestation. The fault localization accuracy ranges from 90% to 100%, depending on the desired localization granularity. Extensive cycle-accurate simulations in a 64-node CMP and analysis at the RTL netlist-level demonstrate the efficacy of the proposed technique. © 2016 ACM.en
dc.sourceACM Transactions on Architecture and Code Optimizationen
dc.source.urihttps://www.scopus.com/inward/record.uri?eid=2-s2.0-84975217471&doi=10.1145%2f2930670&partnerID=40&md5=68b3180b157871e359340d5b162f1e88
dc.subjectDistributed computer systemsen
dc.subjectComputer architectureen
dc.subjectFault detectionen
dc.subjectEmbedded systemsen
dc.subjectVLSI circuitsen
dc.subjectCycle-accurate simulationen
dc.subjectFault detection/diagnosisen
dc.subjectFault localizationen
dc.subjectNetwork-on-chipen
dc.subjectNetwork-on-chip architecturesen
dc.subjectNetworks on chipsen
dc.subjectNetworks-on-chipen
dc.subjectNoCen
dc.subjectReal time fault detectionen
dc.subjectReal-time hardwareen
dc.subjectSingle-clock-cycleen
dc.titleAn online and real-time fault detection and localization mechanism for network-on-chip architecturesen
dc.typeinfo:eu-repo/semantics/article
dc.identifier.doi10.1145/2930670
dc.description.volume13
dc.description.issue2
dc.author.faculty002 Σχολή Θετικών και Εφαρμοσμένων Επιστημών / Faculty of Pure and Applied Sciences
dc.author.departmentΤμήμα Πληροφορικής / Department of Computer Science
dc.type.uhtypeArticleen
dc.description.notes<p>Cited By :2</p>en
dc.source.abbreviationACM Trans.Archit.Code Optim.en
dc.contributor.orcidNicopoulos, Chrysostomos A. [0000-0001-6389-6068]
dc.gnosis.orcid0000-0001-6389-6068


Files in this item

FilesSizeFormatView

There are no files associated with this item.

This item appears in the following Collection(s)

Show simple item record