Show simple item record

dc.contributor.authorHardy, D.en
dc.contributor.authorPuaut, I.en
dc.contributor.authorSazeides, Yiannakisen
dc.creatorHardy, D.en
dc.creatorPuaut, I.en
dc.creatorSazeides, Yiannakisen
dc.date.accessioned2019-11-13T10:40:21Z
dc.date.available2019-11-13T10:40:21Z
dc.date.issued2016
dc.identifier.isbn978-3-9815370-6-2
dc.identifier.urihttp://gnosis.library.ucy.ac.cy/handle/7/54075
dc.description.abstractFine-grained disabling and reconfiguration of hardware elements (functional units, cache blocks) will become economically necessary to recover from permanent failures, whose rate is expected to increase dramatically in the near future. This fine-grained disabling will lead to degraded performance as compared to a fault-free execution. Until recently, all static worst-case execution time (WCET) estimations methods were assuming fault-free processors, resulting in unsafe estimates in the presence of faults. The first static WCET estimation technique dealing with the presence of permanent faults in instruction caches was proposed in [1]. This study probabilistically quantified the impact of permanent faults on WCET estimates. It demonstrated that the probabilistic WCET (pWCET) estimates of tasks increase rapidly with the probability of faults as compared to fault-free WCET estimates. In this paper, we show that very simple reliability mechanisms allow mitigating the impact of faulty cache blocks on pWCETs. Two mechanisms, that make part of the cache resilient to faults are analyzed. Experiments show that the gain in pWCET for these two mechanisms are on average 48% and 40% as compared to an architecture with no reliability mechanism. © 2016 EDAA.en
dc.publisherInstitute of Electrical and Electronics Engineers Inc.en
dc.sourceProceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016en
dc.source19th Design, Automation and Test in Europe Conference and Exhibition, DATE 2016en
dc.source.urihttps://www.scopus.com/inward/record.uri?eid=2-s2.0-84973626863&partnerID=40&md5=8c1b042a58903ad8701cebaeb3932b7a
dc.subjectHardwareen
dc.subjectReconfigurable hardwareen
dc.subjectWorst-case execution timeen
dc.subjectCache blocksen
dc.subjectDegraded performanceen
dc.subjectEstimation techniquesen
dc.subjectFunctional unitsen
dc.subjectHardware elementsen
dc.subjectInstruction cachesen
dc.subjectPermanent faultsen
dc.titleProbabilistic WCET estimation in presence of hardware for mitigating the impact of permanent faultsen
dc.typeinfo:eu-repo/semantics/conferenceObject
dc.description.startingpage91
dc.description.endingpage96
dc.author.faculty002 Σχολή Θετικών και Εφαρμοσμένων Επιστημών / Faculty of Pure and Applied Sciences
dc.author.departmentΤμήμα Πληροφορικής / Department of Computer Science
dc.type.uhtypeConference Objecten
dc.description.notes<p>Sponsors: Ciscoen
dc.description.notesDresdenen
dc.description.notesDrewagen
dc.description.noteset al.en
dc.description.notesGoethe Universitat Frankfurten
dc.description.notesTechnische Universitat Dresdenen
dc.description.notesConference code: 121520en
dc.description.notesCited By :5</p>en


Files in this item

FilesSizeFormatView

There are no files associated with this item.

This item appears in the following Collection(s)

Show simple item record