Show simple item record

dc.contributor.authorPatsidis, Karyofyllisen
dc.contributor.authorKonstantinou, Dimitrisen
dc.contributor.authorNicopoulos, Chrysostomosen
dc.contributor.authorDimitrakopoulos, Giorgosen
dc.creatorPatsidis, Karyofyllisen
dc.creatorKonstantinou, Dimitrisen
dc.creatorNicopoulos, Chrysostomosen
dc.creatorDimitrakopoulos, Giorgosen
dc.date.accessioned2021-01-26T09:45:49Z
dc.date.available2021-01-26T09:45:49Z
dc.date.issued2018
dc.identifier.issn0141-9331
dc.identifier.urihttp://gnosis.library.ucy.ac.cy/handle/7/63417
dc.description.abstractThe RISC-V Instruction Set Architecture (ISA) is becoming an increasingly popular ecosystem for both hardware and software development. In this article, we investigate one of RISC-V’s most versatile ISA extensions, which allows for compressed 16-bit instructions to coexist with regular 32-bit instructions. While the use of instruction compression has been touted as a means to primarily reduce code density, we present another beneficial exploitation avenue: dual issuing of compressed 16-bit instructions with minimal hardware overhead. Consequently, the proposed RISC-V processor design can substantially improve instruction throughput and reduce execution times. Additionally, the new processor employs selective register renaming to specifically target the registers used under instruction compression, thereby completely eliminating unnecessary stalls due to name dependencies. Finally, the new design utilizes a partitioned register file that capitalizes on the skewed use of registers to improve energy efficiency through clock gating. Extensive hardware analysis and cycle-accurate simulations using real applications demonstrate the effectiveness of the proposed processor architecture. Dual issuing of compressed instructions is shown to often approach the performance of a full-width two-way superscalar processor, but with much higher area and power efficiencyen
dc.description.abstractthis is of paramount importance to severely resource-restricted emerging paradigms, such as wearable devices and Internet-of-Things (IoT) environments.en
dc.language.isoenen
dc.sourceMicroprocessors and Microsystemsen
dc.source.urihttp://www.sciencedirect.com/science/article/pii/S0141933118300048
dc.titleA low-cost synthesizable RISC-V dual-issue processor core leveraging the compressed Instruction Set Extensionen
dc.typeinfo:eu-repo/semantics/article
dc.identifier.doi10.1016/j.micpro.2018.05.007
dc.description.volume61
dc.description.startingpage1
dc.description.endingpage10
dc.author.facultyΠολυτεχνική Σχολή / Faculty of Engineering
dc.author.departmentΤμήμα Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών / Department of Electrical and Computer Engineering
dc.type.uhtypeArticleen
dc.source.abbreviationMicroprocessors and Microsystemsen
dc.contributor.orcidNicopoulos, Chrysostomos [0000-0001-6389-6068]
dc.contributor.orcidDimitrakopoulos, Giorgos [0000-0003-3688-7865]
dc.contributor.orcidPatsidis, Karyofyllis [0000-0002-4638-1828]
dc.gnosis.orcid0000-0001-6389-6068
dc.gnosis.orcid0000-0003-3688-7865
dc.gnosis.orcid0000-0002-4638-1828


Files in this item

FilesSizeFormatView

There are no files associated with this item.

This item appears in the following Collection(s)

Show simple item record