Show simple item record

dc.contributor.authorSánchez, D.en
dc.contributor.authorSazeides, Yiannakisen
dc.contributor.authorCebrián, J. M.en
dc.contributor.authorGarćia, J. M.en
dc.contributor.authorAragón, J. L.en
dc.creatorSánchez, D.en
dc.creatorSazeides, Yiannakisen
dc.creatorCebrián, J. M.en
dc.creatorGarćia, J. M.en
dc.creatorAragón, J. L.en
dc.date.accessioned2019-11-13T10:42:10Z
dc.date.available2019-11-13T10:42:10Z
dc.date.issued2013
dc.identifier.issn1544-3566
dc.identifier.urihttp://gnosis.library.ucy.ac.cy/handle/7/54927
dc.description.abstractThe traditional performance cost benefits we have enjoyed for decades from technology scaling are challenged by several critical constraints including reliability. Increases in static and dynamic variations are leading to higher probability of parametric and wear-out failures and are elevating reliability into a prime design constraint. In particular, SRAM cells used to build caches that dominate the processor area are usually minimum sized and more prone to failure. It is therefore of paramount importance to develop effective methodologies that facilitate the exploration of reliability techniques for caches. To this end, we present an analytical model that can determine for a given cache configuration, address trace, and random probability of permanent cell failure the exact expected miss rate and its standard deviation when blocks with faulty bits are disabled.What distinguishes ourmodel is that it is fully analytical, it avoids the use of fault maps, and yet, it is both exact and simpler than previous approaches. The analytical model is used to produce the miss-rate trends (expected miss-rate) for future technology nodes for both uncorrelated and clustered faults. Some of the key findings based on the proposedmodel are (i) block disabling has a negligible impact on the expected miss-rate unless probability of failure is equal or greater than 2.6e-4, (ii) the fault map methodology can accurately calculate the expected miss-rate as long as 1,000 to 10,000 fault maps are used, and (iii) the expected miss-rate for execution of parallel applications increases with the number of threads and is more pronounced for a given probability of failure as compared to sequential execution. © 2013 ACM.en
dc.sourceTransactions on Architecture and Code Optimizationen
dc.source.urihttps://www.scopus.com/inward/record.uri?eid=2-s2.0-84891816577&doi=10.1145%2f2541228.2541236&partnerID=40&md5=c84e29701de09fb338bdf870af6a4e28
dc.subjectModelsen
dc.subjectProbabilityen
dc.subjectAnalytical modelsen
dc.subjectFault toleranceen
dc.subjectReliabilityen
dc.subjectParallel applicationen
dc.subjectStatic random access storageen
dc.subjectProbability of failureen
dc.subjectCache configurationsen
dc.subjectCachesen
dc.subjectCritical constraintsen
dc.subjectReliability techniquesen
dc.subjectStatic and dynamic variationsen
dc.subjectYielden
dc.titleModeling the impact of permanent faults in cachesen
dc.typeinfo:eu-repo/semantics/article
dc.identifier.doi10.1145/2541228.2541236
dc.description.volume10
dc.description.issue4
dc.author.faculty002 Σχολή Θετικών και Εφαρμοσμένων Επιστημών / Faculty of Pure and Applied Sciences
dc.author.departmentΤμήμα Πληροφορικής / Department of Computer Science
dc.type.uhtypeArticleen
dc.description.notes<p>Cited By :3</p>en
dc.source.abbreviationTrans.Archit.Code Optim.en


Files in this item

FilesSizeFormatView

There are no files associated with this item.

This item appears in the following Collection(s)

Show simple item record